summaryrefslogtreecommitdiffstats
path: root/tests/test_thread.py
blob: 09ad07455f9c085f6473d53db8e9520d132c0a31 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
import unittest

from common import glib, testhelper


class TestThread(unittest.TestCase):
    def setUp(self):
        self.main = glib.MainLoop()

    def from_thread_cb(self, test, enum):
        assert test == self.obj
        assert int(enum) == 0
        assert type(enum) != int

    def idle_cb(self):
        self.obj = testhelper.get_test_thread()
        self.obj.connect('from-thread', self.from_thread_cb)
        self.obj.emit('emit-signal')

    def testExtensionModule(self):
        glib.idle_add(self.idle_cb)
        glib.timeout_add(50, self.timeout_cb)
        self.main.run()

    def timeout_cb(self):
        self.main.quit()