summaryrefslogtreecommitdiffstats
path: root/tests/test_thread.py
diff options
context:
space:
mode:
authorJohan Dahlin <johan@src.gnome.org>2006-01-09 12:26:46 +0000
committerJohan Dahlin <johan@src.gnome.org>2006-01-09 12:26:46 +0000
commit551a38178f7e66f215980fb01200472c8e6d3cd4 (patch)
treefbbfd2556f77dc9f64c5c92af76a7dc35930f859 /tests/test_thread.py
parent0b07af909c44f27368a13ecfa94bfda5762e58fb (diff)
downloadpygobject-551a38178f7e66f215980fb01200472c8e6d3cd4.tar.gz
pygobject-551a38178f7e66f215980fb01200472c8e6d3cd4.tar.xz
pygobject-551a38178f7e66f215980fb01200472c8e6d3cd4.zip
Split out PyGObject from PyGTK.
Diffstat (limited to 'tests/test_thread.py')
-rw-r--r--tests/test_thread.py7
1 files changed, 4 insertions, 3 deletions
diff --git a/tests/test_thread.py b/tests/test_thread.py
index 647783a..231930f 100644
--- a/tests/test_thread.py
+++ b/tests/test_thread.py
@@ -1,7 +1,8 @@
import os
import unittest
-from common import gobject, gtk, testhelper
+from common import gobject, testhelper
+main = gobject.MainLoop()
class TestThread(unittest.TestCase):
def from_thread_cb(self, test, enum):
@@ -17,7 +18,7 @@ class TestThread(unittest.TestCase):
def testExtensionModule(self):
gobject.idle_add(self.idle_cb)
gobject.timeout_add(50, self.timeout_cb)
- gtk.main()
+ main.run()
def timeout_cb(self):
- gtk.main_quit()
+ main.quit()