summaryrefslogtreecommitdiffstats
path: root/plugins/imrelp/imrelp.c
Commit message (Collapse)AuthorAgeFilesLines
* Merge branch 'v4-stable' into v5-stableRainer Gerhards2012-01-141-15/+14
|\ | | | | | | | | | | | | | | Conflicts: plugins/imfile/imfile.c plugins/omtesting/omtesting.c tcpsrv.c threads.c
| * relicense parts under ASL 2.0Rainer Gerhards2012-01-111-15/+14
| | | | | | | | after carful check for copyright holder
* | Merge branch 'v4-stable' into v5-stableRainer Gerhards2011-05-201-5/+0
|\|
| * cleanup: removed useless & undocumented config directive from imrelpRainer Gerhards2011-05-201-5/+0
| |
* | added work-around for bug in gtls, which causes fd leak when using TLSBojan Smojver2011-02-231-0/+1
|/ | | | | | | | The capability has been added for module to specify that they do not like being unloaded. related bug tracker: http://bugzilla.adiscon.com/show_bug.cgi?id=222 Signed-off-by: Rainer Gerhards <rgerhards@adiscon.com>
* Merge branch 'v3-stable' into v4-betaRainer Gerhards2009-10-141-4/+4
|\ | | | | | | | | Conflicts: plugins/imrelp/imrelp.c
| * enhance: imrelp now also provides remote peer's IP addressRainer Gerhards2009-10-141-4/+4
| | | | | | | | [if librelp != 1.0.0 is used]
* | finished transition to using inputName propertyRainer Gerhards2009-06-301-2/+16
| | | | | | | | | | ... plus some celanup and adding minor missing functionality (the rule debug info again tell the property name, not just number).
* | moved bParseHostname and bIsParsed to msgFlagsRainer Gerhards2008-10-091-2/+3
| | | | | | | | | | | | This enables us to use more efficient calling conventions and also helps us keep the on-disk structure of a msg object more consistent in future releases.
* | "output" timestamp now taken from mesg's time generatedRainer Gerhards2008-10-071-1/+1
| | | | | | | | | | | | | | This enhances performance and, as some have pointed out, is probably also more consistent with what users expect how the various output-timestamp related function should work. This commit needs some more testing.
* | added $UDPServerTimeRequery optionRainer Gerhards2008-10-061-1/+1
|/ | | | | | | ...which enables to work with less acurate timestamps in favor of performance. This enables querying of the time only every n-th time if imudp is running in the tight receive loop (aka receiving messsages at a high rate)
* added properties "inputname" and "$myhostname"Rainer Gerhards2008-09-101-1/+1
| | | | | | | | - added message property "inputname", which contains the name of the input (module) that generated it. Presence is depending on suport in each input module (else it is blank). - added system property "$myhostname", which contains the name of the local host as it knows itself.
* added fromhost-ip properties and some bugfixesRainer Gerhards2008-05-161-1/+3
| | | | | | | | | | - bugfix: TCP input modules did incorrectly set fromhost property (always blank) - bugfix: imklog did not set fromhost property - added "fromhost-ip" property - added "RSYSLOG_DebugFormat" canned template - bugfix: hostname and fromhost were swapped when a persisted message (in queued mode) was read in
* some cleanup (gotten rid of some more plain chars)Rainer Gerhards2008-05-061-1/+1
|
* some more cleanupRainer Gerhards2008-04-161-1/+1
| | | | | reduced dependencies, moved non-runtime files to its own directory except for some whom's status is unclear
* cleanup of unnecessary warningsRainer Gerhards2008-03-311-1/+1
|
* adopted to changed librelp interfaceRainer Gerhards2008-03-311-2/+3
|
* changed the "syslog" relp command to be required for rsyslogdRainer Gerhards2008-03-271-1/+1
|
* used new librelp entry point to set "syslog" command as desired featureRainer Gerhards2008-03-271-0/+1
|
* fixed some problems in initial imrelp implementationRainer Gerhards2008-03-211-2/+2
|
* added capability to receive RELP messages and forward them to the mainRainer Gerhards2008-03-211-37/+14
| | | | message queue to imrelp (not yet fully finished)
* fixed small memory leakRainer Gerhards2008-03-201-0/+2
|
* librelp changed header nameRainer Gerhards2008-03-191-1/+1
|
* done a bit to support librelp testingRainer Gerhards2008-03-171-25/+20
|
* cleaned up new relp code files to make them suitable for implementationRainer Gerhards2008-03-131-9/+7
|
* added initial set of RELP support (i/omrelp) - not working yet, just stageRainer Gerhards2008-03-131-0/+216
work